Jump to content

problem on uvm_blocking_peek_imp


Recommended Posts

Hi,

I'm writing my first UVM code and using uvm_blocking_peek_port/imp to connect monitor & sequencer. When I use irun 9.2 to simulate the code, I met such error:

`BLOCKING_PEEK_IMP (m_imp, T, t)

|

ncelab: *F,CUVUNF (/apps/INCISIV92/09.20.022/IUS92/tools/uvm/src/uvm_tlm/uvm_imps.svh,125|33): Hierarchical name component lookup failed at 'peek'.

irun: *E,ELBERR: Error during elaboration (status 2), exiting.

can anybody help me to clarify this problem? thanks.

regards

Link to comment
Share on other sites

  • 2 weeks later...

hi,

it is pretty hard to give you a meaningful answer just by seeing this error msg. but i'm just guessing -

- check your arguments to your port/export/imp ports especially ordering, number and what they are types/param types/instance names

- check the other messages potentially pointing to the offending line in the source code

- check that the imp is correctly declared+instantiated

class X extends uvm_component;

 uvm_blocking_put_imp #(TLMTYPE, X) in=new("name",this);

 task put (TLMTYPE p); ... endtask
endclass

Link to comment
Share on other sites

Hi,

thank you for your kind reply. I copied the code from examples and run it in IUS, then I got error as above. The code I use is similar to code you wrote except with different arguments. It is strange I got a wrong results. I have deleted old code and use another way to finish the job. Anyway, thanks for your reply.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...