Jump to content

regarding objections


Recommended Posts

i have a sequence lib. i created a base_seq in which i used pre_body() and post_body() to raise and drop objections. but my code is not executing pre and post body. im getting an error indicating time out. how can i resolve it.

all the sequences extending base_seq must raise and drop objection.........

Link to comment
Share on other sites

I guess you're using `uvm_do, which inhibits the call of pre/post_body() in sub-sequence (if you look at what the code expands to, the last argument to start(...) is 0, which means don't call pre/post_body()). Either don't use the `uvm_do macro and just call sub_seq.start(...) manually or move your objection raising to pre_start().

 

In any case, if you raise objections in your virtual sequence (the one that starts these sequences), then you shouldn't need to raise objections in your sub-sequences, as the virtual sequence will anyway have its objection raised throughout the time your sub-sequences execute. This is what Mentor Graphics technologists would recommend, as it costs performance to raise and drop objections because they have to propagate across the whole UVM hierarchy.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...