Jump to content

Waiting for clocks in sequence


Recommended Posts

Hi All,
This may seem to be a basic question.
But I need to know methodology and correct way of doing it.

If I have to wait for some clocks in sequence , how do we achieve it ?
1) In driver , we have interface handle so there we can wait and use uvm_event ??
2) Use #delays in sequence .

 

Please let me know the correct method.

Thanks,

GG

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...