Jump to content

Question about uvm_config_db of SV interface


Recommended Posts

Hi,

 

I have a question when I use uvm_config_db for interface connection.

 

Generally, I know we use set() and get() function of "uvm_config_db" when we connect interface instance with virtual interface.

 

As I know, uvm_config_db#(virtual aaa_intf)::set() is described inside top testbench module.

And uvm_config_db#(virtual aaa_intf)::get() is some phase of inside class.

 

What I want to do is to move set() function into some phase of class.

Is it possible?

 

If possible, which phase can I use not to be no problem in topology?

Could you give me an example?

I generally descirbes uvm_config_db#(virtual aaa_intf)::get() in connect_phase.

 

 

Thanks & Regards,

YYN

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...