Jump to content

uvm_resource_db/uvm_config_db usage


Recommended Posts

UVM agents use uvm_resource_db/uvm_config_db to configure components. what is the difference between these two?..

how does the below code work,

 

uvm_resource_db # (virtual bus_if.monitor)::read_by_name("interfaces", bus_if_monitor", m_env.m_bus_mon.mi)

 

uvm_config_db#(int)::set(this, "env.*","m_reg_state", venv::START)

 

 

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...