Jump to content

active_passive_enum


Recommended Posts

Hi folks,

I see that the uvm_active_passive_enum type is declared (as expected) in uvm_meth_defines.svh, but it doesn't get any mention in the reference documentation. Two questions, therefore:

1) Has it been deprecated, and if so, what should I use to replace it for the active/passive configuration flag in an agent?

2) Getting old, memory not what it used to be... can someone remind me of the conventional name for this data member in an agent?

cheers

Link to comment
Share on other sites

  • 4 weeks later...

It is documented in both OVM and UVM reference guide.

Please search with "is_active" in both docs.

Nice try, but unfortunately that's the wrong "is_active" - the is_active property documented in the refguide is a bit-flag in a transaction that says whether it's in progress. I was talking about the conventionally-named property of an agent that decides whether it has a driver and sequencer. Since it doesn't appear in the source code at all, it similarly doesn't appear in the documentation generated by NaturalDocs.

I have created a local extension of uvm_agent that has the is_active property, and I derive all my agent classes from that local extension. Does anyone else agree with me that it should really be in the BCL, since it's an integral part of the eRM-derived "agent" approach?

cheers

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...