Jump to content

Basic Example - IUS


Recommended Posts

Hello,

I thought I would be able to quickly run the UVM examples. I am using IUS8.20-s023.

I cd down to uvm/examples/basic_examples/pkg

From here I issue the command

irun -f compile_ius.f

and I get the following:

irun: 08.20-s023: © Copyright 1995-2010 Cadence Design Systems, Inc.

file: ../../../src/uvm_pkg.sv

foreach(m_cb.cnt[idx]) begin

|

ncvlog: *E,ILLHIN (../../../src/base/uvm_heartbeat.svh,227|30): illegal location for a hierarchical name (m_cb).

(`include file: ../../../src/base/uvm_heartbeat.svh line 227, `include file: ../../../src/base/base.svh line 75, `include file: ../../../src/uvm.svh line 25, file: ../../../src/uvm_pkg.sv line 27)

foreach(m_cb.cnt[idx]) begin

|

ncvlog: *E,ILLHIN (../../../src/base/uvm_heartbeat.svh,240|32): illegal location for a hierarchical name (m_cb).

(`include file: ../../../src/base/uvm_heartbeat.svh line 240, `include file: ../../../src/base/base.svh line 75, `include file: ../../../src/uvm.svh line 25, file: ../../../src/uvm_pkg.sv line 27)

foreach(m_cb.cnt[idx]) begin

|

ncvlog: *E,ILLHIN (../../../src/base/uvm_heartbeat.svh,252|32): illegal location for a hierarchical name (m_cb).

(`include file: ../../../src/base/uvm_heartbeat.svh line 252, `include file: ../../../src/base/base.svh line 75, `include file: ../../../src/uvm.svh line 25, file: ../../../src/uvm_pkg.sv line 27)

foreach(m_cb.cnt[idx]) begin

|

ncvlog: *E,ILLHIN (../../../src/base/uvm_heartbeat.svh,262|32): illegal location for a hierarchical name (m_cb).

(`include file: ../../../src/base/uvm_heartbeat.svh line 262, `include file: ../../../src/base/base.svh line 75, `include file: ../../../src/uvm.svh line 25, file: ../../../src/uvm_pkg.sv line 27)

package worklib.uvm_pkg:sv

errors: 4, warnings: 0

The contents of the compile_ius.f file are as they came in the tar.gz file. Listed below for reference:

-incdir ../../../src

../../../src/uvm_pkg.sv

test.sv

I was kind of hoping this would just workout right away, as it did for me in ovm-2.1.1.

Any thoughts?

Alain

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...