Jump to content

set_rights for uvm reg


Recommended Posts

  • 2 weeks later...

hi,

 

you should be able to use 

 

function uvm_reg_map_info uvm_reg_map::get_reg_map_info(uvm_reg rg, bit error=1);

 

to retrieve the properties for a reg/mem in a particular map. once you have the properties you may switch the rights for the particular entity via the uvm_reg_map_info::rights attribute

 

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...