Jump to content

Zero delay loop in verilog design


Recommended Posts

 

# ** Error: (vsim-3601) Iteration limit reached at time 530 ns.
# This is a zero-delay loop

i am running the test case in UVM to verify the core.

The core have accumulator,instruction decoder and ALU.
The ALU is getting the first data only. While coming to second the instruction , the simulator is getting the error like this
Simulator :model sim

# ** Error: (vsim-3601) Iteration limit reached at time 530 ns.
# This is a zero-delay loop:

Please suggest me about this issue to rectify.........

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...