Jump to content

How to pass register model for in-built sequence??


Recommended Posts

Hi,

 

I have created a basic test for register testing.

I am using the in-built register sequence i.e. uvm_reg_hw_reset_seq.

But I was wondering how to pass my register model to this sequence, as otherwise it gives an error.

 

 

Thanks a lot for your help,

 

 

Regards,

GG

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...