Jump to content

inconsistent with 'net' object.


Recommended Posts

hi i am new to UVM. In my design i have in-out port so interface i declared as wire.

while run the design by using make i got the one error :

** Error: (vsim-3044) ../uvc/i2c_slave_driver.sv(55): Usage of 'vif.sda' inconsistent with 'net' object.

here sda is in-out singal and vif is virtual interface of the design.

can any one help me to solve this problem..

Link to comment
Share on other sites

Hi sagar this is my interface code of i2c

interface i2c_if (input wb_clk_i);

logic wb_rst_i; // synchronous active high reset

logic arst_i; // asynchronous reset

logic [2:0] wb_adr_i; // lower address bits

logic [7:0] wb_dat_i; // databus logic

logic [7:0] wb_dat_o; // databus output

logic wb_we_i; // write enable logic

logic wb_stb_i; // stobe/core select signal

logic wb_cyc_i; // valid bus cycle logic

logic wb_ack_o; // bus cycle acknowledge output

logic wb_inta_o; // interrupt request signal output

logic scl;

wire sda;

logic i2c_ack;

endinterface

Regards,

Santhosh

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...