Jump to content

UVM_REG:: How can we use multiple bfm drivers to same regmap using uvm registers


Recommended Posts

Hi,

We have to use multiple bfm driver to regmap and at same times we don't want to change UVM API's for example:

uvm_write

uvm_read

But I see that in connect stage regmap default sequencer is set to one of them BFM sequencer?

How can we make regmap to use two sequencers and use uvm API's at same time?

Regards,

Santosh

Link to comment
Share on other sites

  • 1 month later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...