johnjn87 Posted January 22, 2013 Report Posted January 22, 2013 Hi all, I am running my I2S Verification code in Questa 10.1. I am getting errors in every UVM_BASE_CLASSES. I think, I have committed some mistakes in my Makefile. I have pasted an error and makefile below. ** Error: ../tb_uvm/i2s_xactn.sv(5): near "uvm_sequence_item": syntax error, unexpected IDENTIFIER ** Error: ../tb_uvm/i2s_xactn.sv(5): Error in class extension specification. qsta:clean vlib work vlog +acc -sv +incdir+$(UVM_HOME)/src $(UVM_HOME)/src/uvm_pkg.sv +define+UVM_NO_DPI -mfcu -f flist -l qsta.log vsim -c +UVM_TESTNAME=i2s_base_test +UVM_VERBOSITY=UVM_FULL top -do "run -a;quit" -l lab6_simple_qsta.log Please help me to solve this issue. Thanks, John Jacob Quote
dave_59 Posted January 22, 2013 Report Posted January 22, 2013 Did you remember to import the UVM package? There's no need to compile the UVM code. See http://go.mentor.com/uvm1-0-questa Quote
johnjn87 Posted January 23, 2013 Author Report Posted January 23, 2013 Hi Dave, Thanks for your quick response. I have sorted out the issue. regards, John Jacob Quote
chandrashekar Posted November 12, 2013 Report Posted November 12, 2013 Hi John, Even I am getting same error ... Can someone help me ... Quote
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.