Jump to content

sword_hs

Members
  • Posts

    6
  • Joined

  • Last visited

sword_hs's Achievements

Member

Member (1/2)

0

Reputation

  1. Hi lkb1963, Thanks so much for your response. You mean that you have implemented this with a fork/join, so could you paste some code example? Actually, I have tried implemented the active monitoring, and because of the package reason, there should not be hard paths used in the active monitoring. Could you give me more detailed explanation. And could you tell me the white paper title to search? Thanks in advanced.
  2. Hi Doug Thanks for your more detailed solution about my question. The two options you mentioned are very useful. And the first solution you mentioned that used uvm_reg::backdoor_watch(). what you means is that it will depend on the simluation tool's feature, right? And I found a solution in the uvm_user_guide_1.1: class active_monitor_r1 extends uvm_reg_backdoor; virtual function bit is_auto_updated(string fld_name); case (fld_name) "f1": return 1; "f2": return 1; endcase endfunction virtual task wait_for_change(); @($root.tb_top.dut.rf.f1 or $root.tb_top.dut.rf.f2); endtask endclass I just tried to figure out it in this way. but the simulation is error , info as "illegal location for a hierarchical name (in a package)." So have you met this? Could you help me about this. Thanks so much for your help.
  3. Hi dwikle Thanks for your response. Actually i don't know when the status values change. I just wanna monitor the DUT all the time, and if the status values changed, register model will update automatically.
  4. Hi I just wrote the code as you referred above, and how could I set the "dut.register". actually when I set as "tb_top.dut.register", there is error info as below "illegal location for a hierarchical name (in a package)." Could you help me about this error?
  5. I met the same issue just like what you met, the error info is illegal location for ahierarchical name (in a package). Have you figured out the reason?
  6. There are some status registes in the DUT, and the status registers' value in the DUT will update in the simluation.(e.g. some flags set). And how could the register model value be updated automatically? Is there someone could give me an example about the solution? Thanks
×
×
  • Create New...