Jump to content

write-only register read() via backdoor failure


Recommended Posts

One of register test requirements is that the write-only register written with a new value in advance can be read back correctly via the back-door interface anyway. It is to prove the correctness of write to the write-only register via front-door.

The uvm_reg doesn't support this because it will do the pre_read check using uvm_reg_write_only_cbs class before the true backdoor operation is done. The read is then returned with UVM_NOT_OK and failed eventually.

Suppose the test requirement makes sense here, what could I work-around this? Seem the uvm_reg should be updated to distinguish the backdoor and frontdoor way of read from write-only register.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...