Jump to content

transaction recording view in GUI for UVM


Recommended Posts

Hi,

I've the following code in my sequence_item;

function void do_record(uvm_recorder recorder);

`uvm_record_attribute(recorder.tr_handle,"data",data)

`uvm_record_attribute(recorder.tr_handle,"addr",addr)

endfunction

After compiling,i've found tr_db.log file is generated in cadence. But i dont know how to see it in gui.

can anyone tell me in detail about the transaction recording?

regards,

mahee

Link to comment
Share on other sites

  • 2 weeks later...

hi,

transaction recording into a gui requires auxillary support delived by your simulator vendor. the uvm-accellera distribution only has textual output.to see the transactions in simvision try the following:

irun -uvm -gui +uvm_set_config_int="*“,recording_detail,1 ... <rest of vlog....>

then run for some time, then you have in the design browser an additional hierarchy holding all transaction streams you can now select and push into the waveform/sequence chart etc.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...