Jump to content

does someone knows the how to bind sequence to sequence ?


Recommended Posts

Hi,

ubus_base_sequence is a virtual base class which is never directly bound to the ubus_master_sequencer. Instead a specific subclass such as the read_modify_write_seq in examples/ubus/integrated/examples/ubus_example_master_seq_lib.sv is bound to the sequencer. You can see an example where read_modify_write_seq is bound to the sequencer in the test_read_modify_write class in examples/ubus/integrated/examples/test_lib.sv. Here the connection is made through the uvm_config_db.

uvm_config_db#(uvm_object_wrapper)::set(this,
		    "ubus_example_tb0.ubus0.masters[0].sequencer.run_phase", 
			       "default_sequence",
				read_modify_write_seq::type_id::get());

There are other ways such as

    seq = read_modify_write_seq::type_id::create("seq");
    uvm_config_db#(uvm_sequence_base)::set(this,
		    "ubus_example_tb0.ubus0.masters[0].sequencer.run_phase", 
			       "default_sequence",
				seq);

or in the run_phase:

    seq = read_modify_write_seq::type_id::create("seq");
    seq.start(ubus_example_tb0.ubus0.masters[0].sequencer);

I hope that this helps.

Best Regards

Peter

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...