Jump to content

Virtual sequencer error


Recommended Posts

Hi,

I have defined a virtual sequencer and i am getting the below error.

Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute on this type of sequencer

I am using p_sequencer to connect to execute the sequences of 3 different agents.

uvm_declare_p_sequencer(mem_virtual_sequencer)

Any idea whats going wrong with it.

Link to comment
Share on other sites

read carefully what the message says (does the virtual sequence run on this virtual sequencer (are they compatible?) OR does that happen when the virtual sequencer sends a real sequence to a lower layer sequencer? (validate that its the right kind of sequence for the sequencer)? do the sequencers/sequences/sequence items involve paramters? if so check all elements are compatible ?

typically the easiest path is to stop in your sequence/sequencer and look at the generated sequence and the sequencer and determine if the types are compatible and as expected

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...