Jump to content

[uvm-1.1b] src/reg/uvm_reg_field.svh line 1505, seems missing ";"


Recommended Posts

I was told there is a missing ";" in src/reg/uvm_reg_field.svh line 1505 today. please be aware and thanks!

`ifdef UVM_REG_NO_INDIVIDUAL_FIELD_ACCESS
   rw.element_kind = UVM_REG;
   rw.element = m_parent;
   rw.value = value_adjust;
   m_parent.do_write(rw) // line 1505, missing ";" here.
`else
Link to comment
Share on other sites

The reason why this user define UVM_REG_NO_INDIVIDUAL_FIELD_ACCESS is he want to filter the following warning.

if (!m_individually_accessible) begin

`uvm_warning("RegModel",

{"Individual field access not available for field '",

get_full_name(), "'. Accessing complete register instead."})

return 0;

end

However, is that normal to have a lot of wanring in this kind in log?

do you suggest to filter it by uvm_report_catcher in default?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...