Jump to content

Function uvm_hdl_deposit not found


Recommended Posts

hi,

typically you should be using just "irun -uvmhome <your-uvm-lib> ....rest-of-args...." and remove any incdirs/uvmpkg compile/dpi compile from the commandline. i assume you were using your own compile without any "-uvm/-uvmhome" switch and not adding the dpi c files to the compile.

to cross check post your compile/elab/run invocation

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...