Jump to content

Recommended Posts

Hi, im new in this foro, im student to engineer and i need help for and sintasis mistake in a uvm designer in scoreboard


……..

task run_phase(um_phase phase) ;

phase raise_objection (this);

// Implementar secuencias y casos de prueba

spike sequence seq;

seq = spike_sequence:: type_id:: create("seq");

seq. start(env.agent.sequencer);

phase.drop_objection(this);

endtask

 

…..

 

the mistake is in spike sequence seq;

Link to comment
Share on other sites

Insufficient information for a response. This does not look like a scoreboard, but rather just the launch of a sequence. Also, please use proper indentation.

I suggest you put your design into EDAplayground.com if possible. Then we can get a better view of the issue.

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...