Jump to content

printing some messages to separate logfile


Recommended Posts

Hi,

I would like to have a class which prints uvm_info/uvm_debug type messages, but to a different output file (rather than to default output, where everything else goes). It's not clear to me how to go about that - everything I've tried has resulted in printing to the normal output, so I think there's some big-picture thing I'm missing. I created a test-case with uvm_report_object, gave it output filename, etc. but all output still goes to default output.

I suspect it's just a few lines of code, but I'm stuck. I've searched here, all the UVM websites I could find, and I can't find anything. Could someone possibly post an example?

Thanks,

Erik

Link to comment
Share on other sites

  • 3 weeks later...
Guest joseph.steve

@ blunter

Thanks blunter because your sharing stuff has made this very easy to understand.In fact in the beginning i could not understand the real matter indeed but after watching this video i have got known the real idea.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...