Jump to content

use of deprecated code in UVM User guide


Recommended Posts

In the latest UVM User guide document, I see mention of “uvm_random_sequence†and “count†variable to be set to “0†to disable auto starting of sequence.

Page 72, section 4.8.3

Also I see that in the user guide there is mention of “uvm_sequence_utils†to associate the sequence with the sequencer

Page 48, section 3.10.1

These are deprecated code in UVM but still get a mention in the user guide. Is this an issue with documentation or purposely kept for backward compatibility?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...