Jump to content

Uvm factory registration disambiguation


Recommended Posts

Hello

 

Kindly support with the following question:

Considering a verification environment that imports 2 packages, pkg_A and pkg_B.

Both packkages contain some common class definitions (i.e. same class name, class properties, etc.):

 

pkg_A.sv                                                               pkg_B.sv

class some_name;                                                class some_name;

 `uvm_object_utils(some_name)                           `uvm_object_utils(some_name)

,,,,                                                                                      ...

 

This makes the same class name to be registered to the factory twice, leading to the :


UVM_WARNING @ 0: reporter [TPRGED] Type name some_name already registered with factory. No string-based lookup support for multiple types with the same type name.

Consider that both pkg_A and pkg_B have numerous class with same name.


How can this be fixed?

Thank you 
 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...