Tommy_SH Posted April 7, 2012 Report Share Posted April 7, 2012 Hi experts: I try to dump fsdb file for UVM case using the following code: inital begin $fsdbDumpfile(..); $fsdbDumpvars(top,0); but i met the following compile errors: illegal expression !! ask for help: how to dump fsdb in UVM environment with IUS simulator ? Thanks for your help. Quote Link to comment Share on other sites More sharing options...
aji.cvc Posted April 8, 2012 Report Share Posted April 8, 2012 Hi, >> illegal expression !! Show us full error message and the line of src it points to. My guess is it is unrelated to FSDB. For FSDB, see: http://www.springsoft.com/technology/springsoft-newsletter-info/verdi-uvm-fsdb-may2011 http://www.springsoft.com/technology/springsoft-newsletter-info/auto-record-uvm-sept2011 HTH, Ajeetha, CVC www.cvcblr.com/blog Quote Link to comment Share on other sites More sharing options...
jadec Posted April 10, 2012 Report Share Posted April 10, 2012 $fsdbDumpfile(..); | ncvlog: *E,ILLPRI (test.sv,5|15): illegal expression primary [4.2(IEEE)]. This is due to the ".." not being a valid verilog path. Generally you would specify a string like: $fsdbDumpfile("wave.fsdb"); Quote Link to comment Share on other sites More sharing options...
meenu Posted April 11, 2012 Report Share Posted April 11, 2012 how can i dump a testcase (which is a class) in the UVM environment ? The testcase contains an instance of the env. Quote Link to comment Share on other sites More sharing options...
uwes Posted April 12, 2012 Report Share Posted April 12, 2012 how can i dump a testcase (which is a class) in the UVM environment ? The testcase contains an instance of the env. i assume you cant push references to dynamic objects into the fsdb - with simvision (the native ius graphical frontend) you can. /uwe Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.