Jump to content

uvm_mem backdoor access


Recommended Posts

I am trying to do backdoor access to an internal memory but the tool generated errors because hdl_path is not correct for the memory model and it can't find the memory array index. I am using a memory library provided by Xilinx. Could UVM perform backdoor access to the Xilinx or vendor generated Verilog memory model?

Thanks!

Link to comment
Share on other sites

I believe backdoor accesses to vendors' memories (block RAMs) are possible, but require custom read/write tasks. I'm having the same difficulty in VMM RAL, and the RAL user guide discusses only memories that are implemented as one-dimensional arrays (e.g, my_reg[256] ). It has no discussion on backdoors for registers that are inside block RAMs.

Link to comment
Share on other sites

You need to define a user-defined backdoor access by extending the uvm_reg_backdoor class and implementing the read() and write() method to access the vendor model as required. Then register an instance of that class with the corresponding memory using the uvm_mem::set_backdoor() method.

VMM RAL works the same way.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...