Jump to content

uvm_default_report_server::report_summarize doesn't use file argument


Recommended Posts

uvm_default_report_server::report_summarize has a "file" argument which should control where the summary information is written. This doesn't work.  There is no code in that function which uses the file argument.  I checked both 1800.2-2017-1.0 and 1800.2-2017-1.1.  Looks like a bug in the reference implementation?

virtual function void report_summarize(UVM_FILE file = UVM_STDOUT);

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...