Jump to content

Errors in compilation of "uvm.sv"


Recommended Posts

Hi,

I'm new to verification & UVM . From the README.txt in the "uvm_lib/uvm_sv" , i was told i had to compile the uvm.sv before i could use UVM. I used the following command "ncverilog -sv $UVM_HOME/src/uvm.sv +incdir+$UVM_HOME/src". I was able to start the compilation, but it resulted in many errors.

One of them is:

file: /home/hpkenhar/uvm-1.1/uvm_lib/uvm_sv/src/uvm.sv

protected process m_process_id;

|

ncvlog: *E,NOIPRT (base/uvm_phase.svh,38|18): Unrecognized declaration 'process' could be a spelling mistake [systemVeril

og].

(`include file: base/uvm_phase.svh line 38, `include file: base/base.svh line 68, `include file: uvm_pkg.sv line 30, file

: /home/hpkenhar/uvm-1.1/uvm_lib/uvm_sv/src/uvm.sv line 23)

So basically the word "process" was not recognized as keyword/class in the compiler. Can anyone tell me what i might have done wrong that results in such an error?

I shouldn't have to modify any of the files in the uvm_lib... right?

Link to comment
Share on other sites

hi,

which version of ius are you using? in general you should not have to modify any UVM files to get it running.

btw: the easiest path to run with ius+uvm is "irun -uvmhome <youruvmhomedirectory> ... <your-verilogfiles>". this takes care of all uvm-compile and addon switches.

regards

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...