Jump to content

UVM_CONFIG_DB_TRACE behaviour


kunrav

Recommended Posts

On using the +UVM_CONFIG_DB_TRACE as a command line option : I am seeing the following message

UVM_INFO /apps/INCISIV102/10.20.025/tools.lnx86/uvm-1.1/uvm_lib/uvm_sv/src/base/uvm_resource_db.svh(130) @ 0: reporter [CFGDB/GET] Configuration 'uvm_test_top.recording_detail' (type unknown) read by uvm_test_top = null (failed lookup)

After which all subsequent accesses to the config_db return ?

Is there any dependent setup that I am missing ?

Thanks in advance

Link to comment
Share on other sites

hi,

i dont think there is an issue here . the only thing the msg is indicating is that someone inside your test is trying to read the config db property "recording_detail' but apparently this property has not been set on your top level test. the attached testcase produces the same msg:

// irun -uvm +UVM_TESTNAME=test1 test143.sv +UVM_CONFIG_DB_TRACE
module test143;
import uvm_pkg::*;
`include "uvm_macros.svh"

class test1 extends uvm_test;

 `uvm_component_utils(test1)


 function new(string name, uvm_component parent); 
   super.new(name, parent);
 endfunction

function void start_of_simulation_phase(uvm_phase phase);
	bit foo;
	super.start_of_simulation_phase(phase);
	void'(uvm_config_db#(uvm_bitstream_t)::get(this,"","recording_detail",foo));
endfunction
endclass

initial run_test();
endmodule

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...