kunrav Posted January 22, 2012 Report Posted January 22, 2012 On using the +UVM_CONFIG_DB_TRACE as a command line option : I am seeing the following message UVM_INFO /apps/INCISIV102/10.20.025/tools.lnx86/uvm-1.1/uvm_lib/uvm_sv/src/base/uvm_resource_db.svh(130) @ 0: reporter [CFGDB/GET] Configuration 'uvm_test_top.recording_detail' (type unknown) read by uvm_test_top = null (failed lookup) After which all subsequent accesses to the config_db return ? Is there any dependent setup that I am missing ? Thanks in advance Quote
uwes Posted January 23, 2012 Report Posted January 23, 2012 hi, i dont think there is an issue here . the only thing the msg is indicating is that someone inside your test is trying to read the config db property "recording_detail' but apparently this property has not been set on your top level test. the attached testcase produces the same msg: // irun -uvm +UVM_TESTNAME=test1 test143.sv +UVM_CONFIG_DB_TRACE module test143; import uvm_pkg::*; `include "uvm_macros.svh" class test1 extends uvm_test; `uvm_component_utils(test1) function new(string name, uvm_component parent); super.new(name, parent); endfunction function void start_of_simulation_phase(uvm_phase phase); bit foo; super.start_of_simulation_phase(phase); void'(uvm_config_db#(uvm_bitstream_t)::get(this,"","recording_detail",foo)); endfunction endclass initial run_test(); endmodule Quote
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.