Jump to content

sc_in/sc_out : hierarchical connections


Recommended Posts

Hi Guys

Is it possible to connect sc_in<bool> hierarchically to another sc_in<bool> (same for sc_out<bool> as well) ?

I tried the attached exmples

ex1.cpp  Error: (E109) complete binding failed: port not bound: port 'target.target_h.in' (sc_in)

ex2.cpp : Error: (E109) complete binding failed: 2 binds exceeds maximum of 1 allowed: port 'target.in_h' (sc_in)
 

Can you please help me ?

Thanks

Khushi

ex1.cpp

ex2.cpp

Link to comment
Share on other sites

28 minutes ago, Khushi said:

Is it possible to connect sc_in<bool> hierarchically to another sc_in<bool> (same for sc_out<bool> as well) ?

Yes, but direction of binds matters. 

For example if you want to bind  ( in0 -> in1 -> signal  ) then you will need to write:

in0 ( in1 );
in1 ( signal );

OR

in1 ( signal );
in0 ( in1 );

In your examples you bind like this:

Ex1:  port0 <- port1 -> signal  // port0 not binded

Ex2:  signal <- port0 -> signal  // port0 binded to 2 signals 

I agree that error message in first case is misleading.  

Link to comment
Share on other sites

  • 3 years later...
On 12/6/2018 at 4:42 PM, Roman Popov said:

Yes, but direction of binds matters. 

For example if you want to bind  ( in0 -> in1 -> signal  ) then you will need to write:

in0 ( in1 );
in1 ( signal );

OR

in1 ( signal );
in0 ( in1 );

In your examples you bind like this:

Ex1:  port0 <- port1 -> signal  // port0 not binded

Ex2:  signal <- port0 -> signal  // port0 binded to 2 signals 

I agree that error message in first case is misleading.  

so is it possible to bind more than 2 sc_ins , E.g.  sc_in-->sc_in-->sc_in->......more sc_in s--> sc_in--> sc_signal ? 

similar for sc_out , sc_out-->sc_out-->sc_out->......more sc_out --> sc_out s--> sc_signal  ?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...