Jump to content

UVM Register sequence and register predictor.


Recommended Posts

I have a small problem with my register sequence and register predictor.

I initiate the predefined test sequence provided by UVM for the reset check (uvm_reg_hw_reset_seq)

I am using explicit monitoring to update the register model.

I see that the the comparison b/w the DUT value and the register model value is happening at the start of the register access rather than the after the completion of the register access.

I have set the auto prediction to 1 .

Am i missing out on any configurations and is there a method to pull the register model to your waveform window to check the value reflecting the model

Regards,

kiran bhaskar

Link to comment
Share on other sites

I did a small debug and i found that the problem is not with the read but it is with the mirror method.

When you use the mirror method with the read and check mode( UVM_CHECK)

The mirror method first does the check and then does the read.This throws me an error as the readback value is still not updated in the DUT.

Is this a bug in the UVM.

The mirror method should first read and then do the check as the read back value will be updated.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...