Disha Posted December 26, 2011 Report Share Posted December 26, 2011 (edited) `uvm_sequence_utils giving error whilw compiling : error says : Failed to find name 'add_typewide_sequence' in specified scope the code is class master_sequencer extends uvm_sequencer # (ahb_trans); `uvm_component_utils(master_sequencer) function new(string name = "master_sequencer", uvm_component parent); super.new(name, parent); `uvm_update_sequence_lib_and_item(ahb_trans) endfunction: new endclass : master_sequencer class seq1 extends uvm_sequence #(ahb_trans); ahb_trans trans ; function new (string name = "write_wrap_seq"); super.new(name); endfunction : new `uvm_sequence_utils(seq1,master_sequencer ) virtual task pre_body(); if(starting_phase!=null) begin `uvm_info(get_type_name(), $sformatf("%s, pre_body() raising %s objection", get_sequence_path(), starting_phase.get_name()), UVM_MEDIUM); end endtask virtual task body(); repeat(25) begin `uvm_do_with (trans, {trans.ahb_hwrite == WRITE; trans.ahb_hburst == WRAP8; trans.ahb_hsize == WORD;}) trans.print(); end endtask virtual task post_body(); if(starting_phase != null ) begin `uvm_info(get_type_name(), $sformatf("%s, pre_body() dropping %s objection", get_sequence_path(), starting_phase.get_name()), UVM_MEDIUM); end endtask endclass Edited December 26, 2011 by Disha Quote Link to comment Share on other sites More sharing options...
amitrana Posted December 29, 2011 Report Share Posted December 29, 2011 Hi Disha, `uvm_sequence_utils is deprecated from the UVM. please use uvm_object_util.Also see your sequencer code if you used uvm_update_sequence_lib_and_item plz comment it and rerun the code. I hope it will work in your case. Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.