Jump to content

UVM run time phases


Recommended Posts

Hi Guys,

I'm new to new. I have a doubet in my mind regarding uvm run_time phases reset, configure and main phase. Does we overwrite these run phases. When I tries to overwrite these phases , simulator never comes to my modifed task,Always it simulates the run task and main task I'm unable to execute pre_reset_phase, in which i want to add delay. Please provide the solution to this problem.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...