Jump to content

Why we get SEQREQZMB when jumping to another phase


Recommended Posts

I have a reset sequence, which starts as default_sequence for reset_phase. For main_phase I have some sequence, which is started as default sequence.

At some time I jump from main_phase to reset_phase. Then I get error:

 

[sEQREQZMB] The task responsible for requesting a wait_for_grant on sequencer 'uvm_test_top.env.ctb_agent.sequencer' for sequence 'default_parent_seq' has been killed, to avoid a deadlock the sequence will be removed from the arbitration queues

 

This error occures only if at the moment of the jump has_do_avaliable() of  ctb_agent.sequencer returns 1'b1. Why does it happen? I believe that jumping to another phase doesn't kill sequences.

Link to comment
Share on other sites

UVM requires that the sequencer first stop its sequences and then the driver must be certain to not call item_done on any outstanding sequences. To get this working correctly, there's a simple recipe in this paper from a few years ago, and also in the book:

 

http://sunburst-design.com/papers/HunterSNUGSV_UVM_Resets_paper.pdf

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...