Jump to content

Unique array elements without rand or randc


Recommended Posts

I have a variable logic [31:0] id which is not declared as rand or randc. I need different id's each time into an array logic [31:0] id_array [16].

logic [31:0] id;
logic [31:0] id_array [16];
foreach(id_array) begin
std::randomize(id);
id_array = id;
end

In the above code, there is a possibility of getting duplicate ids in the array. How do I change the code to get unique ids in the array ?

 

Link to comment
Share on other sites

Write a constraint for that. The idea is, for each element in the array, you iterate through all the elements of the array. If the index don't match, the make sure the values are not equal.

This is O(n^2) complexity, there could be a better way of accomplishing the same as well.  

constraint c_unique_array_value {

  foreach(id_array) { 

      foreach(id_array[j]) {

          if(i != j) id_array != id_array[j];

      }

  }

}

Link to comment
Share on other sites

  • 4 weeks later...

I think UNIQUE KEYWORD does well , I have used it with Synopsys VCS, a quick example ::-> http://www.edaplayground.com/x/6AHE

 

Not sure about cadence incisive, sometime back raised CCR for enhancement for this feature , not sure whether it is available.

 

But yes, this unique keyword does much beautification to my code. ;)

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...