Jump to content

default phase sequence


Recommended Posts

Hi,

I'm trying to migrate from uvm 1.0ea to uvm-1.1. I have updated code for setting default sequence as this:

uvm_config_db#(uvm_object_wrapper)::set(this,"xxx.xxxx.sequencer.run_phase", "default_sequence",xxx_seq::type_id::get());

it is interesting that I got these uvm_infos:

uvm_info xxxx [PHASESEQ] No default phase sequence for phase 'pre_reset', and similar info for 'reset', 'post_reset', etc. Does this mean I should use uvm_config_db to set default sequence for all of these phases? Or does this mean I missed something important for the code? thanks.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...