Jump to content

set_report_verbosity_level


Recommended Posts

Hi,

I searched reference guide and found this: "any report from this component whose verbosity exceeds this maximum will be ignored" from set_report_verbosity_level description. I do this "set_report_verbosity_level(600)" in my top level, but I can't print message with uvm_info set to UVM_DEBUG. I have tried set_report_verbosity_level(UVM_DEBUG), also failed. Did I do something wrong?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...