Jump to content

uvm_sequence_base kill on virtual sequence


Recommended Posts

When using uvm_sequence_base's kill on a virtual sequence, will it kill child sequences?

 

It seems to me that it will not, but I am unsure and have not explored this much, yet.

Looking briefly at the UVM base class, I'm guessing that a virtual sequence should have its own function to terminate child sequences.

 

 

-----

update: I added a function in the virtual sequence to terminate child sequences and everything is working fine.

Link to comment
Share on other sites

I learned ....

 

uvm_sequencer has the following two functions:



function void kill_sequence ( uvm_sequence_base sequence_ptr)




virtual function void stop_sequences ()
Tells the sequencer to kill all sequences and child sequences currently
operating on the sequencer, and remove all requests, locks and responses
that are currently queued.  This essentially resets the sequencer to an
idle state. Stop_sequences


While this is different from my original post, and I have not tried either yet, these look useful for what I was doing and will probably allow me to replace the function I added to the virtual sequence, so that it can terminate its child sequences.

What I was doing was trying to kill all activity in/from testbench, so that I can reset at a random time in the middle of a test.  (I am specifically avoiding phase jumping.)

 

(I learned this from interviewing a candidate today and doing some follow-up research.  It's great to learn something in an interview.)

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...