Jump to content

RivieraPro asim assertaction:on|off syntax


Recommended Posts

Anyone had any success using the asim +assertaction command in RivieraPro?

 

+assertaction:off +event+pass+vacuous  // seems to disable all assertions

+assertaction:off +pass+vacuous  // same

 

I'd like to simply disable the vacuous pass.

 

The system command, $assertvacuousoff, works perfectly.  Perhaps it's just a rivierapro issue.

Link to comment
Share on other sites

What exactly you want to do?

 

This will disable vacuous pass actions

asim +assertaction:off +event+vacuous

 

This will disable all pass actions (vacuous and nonvacuous)

asim +assertaction:off +event+pass

 

This will disable all fail action

asim +assertaction:off +event+fail

 

This will disable all fail and vacuous pass action

asim +assertaction:off +event+fail+vacuous

 

I can just guess that you do not have any assert fail in you design so

+assertaction:off +event+pass+vacuous

will turn of all action for you, if you'll have any fail then it still would be on

 

 

+assertaction:off +pass+vacuous 

will turn of all - as you missed +event which is obligatory do define events on which you want do define on/off. This command is just "+assertaction:off", "+pass+vacuous " is simply ignored

 

Link to comment
Share on other sites

 For some reason, when I use:

+assertaction:off +event+vacuous+pass

It turns off the pass action block for both non-vacuous and vacuous.

 

asim +assertaction:off +event+vacuous

Works for me though, because I don't have a failure action block defined; so, thanks for the idea. 

 

READ_REG_PROTOCOL_ERROR:
    `assert_clock (
    $rose( $onehot(csel) && rd_nwr && reg_nmem && rdack==0 ) |=>
    (      $stable(csel) && rd_nwr && reg_nmem && $stable(addr) && rdack==0)[*0:$] ##1
    (      $stable(csel) && rd_nwr && reg_nmem && $stable(addr) && $onehot(rdack)))
    -> read_reg_event;

Link to comment
Share on other sites

  • 1 month later...

 

 For some reason, when I use:

+assertaction:off +event+vacuous+pass

It turns off the pass action block for both non-vacuous and vacuous.

vacuous means vacuous pass

pass means both vacuous and non-vacous fails

 

So if you use +event+vacuous+pass you are switching off vacous passes and also you switch of all passes as a result all passes are switched off. Command you are using works well.

If you would use +event+vacuous+fail you will swotch off vacuous passes and all fails. (there is no vacuous fail)

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...