Jump to content

What is the replacement of the ovm_test_done.force_stop().


Recommended Posts

In my previous testbench built by the OVM, I added a time bomb to the whole environment, the bomb explosion indicates that the simulation runs too long and there must be something wrong. In this case, the the simulation will be terminated immediately by calling the ovm_test_done.force_stop() task.

I know the ovm_test_done has been replaced by the uvm_objection, however, I don't find any method privided by the uvm_objection API which can implement the similar function of the force_stop(). So is there any way recommended by the UVM to terminated the simulation immediately and smoothly? Thx

Link to comment
Share on other sites

hi,

why not simply call

uvm_report_fatal("SOMETHINGISWRONG",".....");

you may also have a look at the uvm_heartbeat facility. this is connected to an objection and expects a raise|drop within a window. if no raise|drops occur in a window the heartbeat stops the run with a HBFAIL fatal msg.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...