Jump to content

uvm_report_warning, uvm_report_error, and uvm_report_fatal don't ignore verbosity


Recommended Posts

In OVM, ovm_report_warning(), ovm_report_error(), and ovm_report_fatal() ignore the verbosity level and always report. The UVM class reference states that this is also the case in UVM (see page 51 in the UVM 1.1 Class Reference). However, in looking through the reference library code, I see that this isn't the case. It is possible to suppress warnings, errors, or fatals through verbosity level settings.

Is this a deliberate change from OVM? If so, what's the reasoning here? It just doesn't seem reasonable to suppress error checking based on verbosity. I would expect a test to behave identically at different verbosity levels.

Link to comment
Share on other sites

Generally, I use the report macros instead of the functions (i.e. `uvm_info, `uvm_warning, `uvm_error, `uvm_fatal). The warning/error/fatal macros do not have a verbosity argument (UVM_NONE is enforced for these), so they will always print. And, the report macros perform better than their function counterparts. My guess is that the functions are retained for some level of backwards compatibility.

In OVM, ovm_report_warning(), ovm_report_error(), and ovm_report_fatal() ignore the verbosity level and always report. The UVM class reference states that this is also the case in UVM (see page 51 in the UVM 1.1 Class Reference). However, in looking through the reference library code, I see that this isn't the case. It is possible to suppress warnings, errors, or fatals through verbosity level settings.

Is this a deliberate change from OVM? If so, what's the reasoning here? It just doesn't seem reasonable to suppress error checking based on verbosity. I would expect a test to behave identically at different verbosity levels.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...