sharmadiksha Posted August 29, 2011 Report Share Posted August 29, 2011 Hello, I have written a packet : class pkt extends uvm_object; rand logic [ADDR_WIDTH-1:0] ADDR_BUS =0; rand logic [DATA_WIDTH-1:0] WR_DATA_BUS =0; rand bit WR_RD_EN =0; `uvm_object_utils_begin(pkt) `uvm_field_int(ADDR_BUS,UVM_ALL_ON) `uvm_field_int(WR_DATA_BUS,UVM_ALL_ON) `uvm_field_int(WR_RD_EN,UVM_ALL_ON) `uvm_object_utils_end endclass while compiling the above code in QuestaSim i face the following error ** Error: ../stimulus_agent/pkt.sv(6): Failed to find name '__m_uvm_status_container' in specified scope plz help me to fix this problem. I am new to uvm. Thanks & Regards Quote Link to comment Share on other sites More sharing options...
dave_59 Posted August 29, 2011 Report Share Posted August 29, 2011 Help us help you by telling us what versions of Questa and UVM are you using? Also, is line 6 `uvm_field_int(ADDR_BUS,UVM_ALL_ON) Some times I see these kinds of errors when the version of the package you are using does not match the version of the +incdir library. Quote Link to comment Share on other sites More sharing options...
sharmadiksha Posted August 30, 2011 Author Report Share Posted August 30, 2011 Hi dave thanks for reply link http://go.mentor.com/drich help me to solve my error thanks Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.