Jump to content

uvm_sequence_library and the use of the macro utils in the source code comments....


Recommended Posts

Starting on line 54 of ${UVM_HOME}/src/seq/uvm_sequence_library.svh is the documentation for how to use the sequence library class.

Why is the sequence item type used as the argument to the `uvm_object_utils on line 55?

It doesn't appear to be needed as I can omit this line, or change its argument to be my_seq_lib. Doesn't the `uvm_sequence_library_utils register this class with the factory, etc.?

54 //| class my_seq_lib extends uvm_sequence_library #(my_item);

55 //| `uvm_object_utils(my_item)

56 //| `uvm_sequence_library_utils(my_seq_lib)

57 //| function new(string name="");

58 //| super.new(name);

59 //| init_sequence_library();

60 //| endfunction

70 //| ...

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...