Jump to content

uvm_config_db::dump() output shows only '?' for values


Recommended Posts

I am running IUS 10.2.

I modified the example in uvm/examples/simple/configuration/manual/my_env_pkg.sv so that it would print the config_db after printing the topology.

task run_phase(uvm_phase phase);

phase.raise_objection(this);

$display("TOPOLOGY ........................................");

uvm_top.print_topology();

$display("CONFIG_DB_DUMP........................................");

uvm_config_db::dump();

#10;

phase.drop_objection(this);

endtask

the topology looks correct: all variables and their values properly appear (a snippet shown below)

TOPOLOGY ........................................

UVM_INFO @ 0: reporter [uVMTOP] UVM testbench topology:

----------------------------------------------------

Name Type Size Value

----------------------------------------------------

topenv my_env - @4769

inst1 A - @4871

u1 C - @5025

v integral 32 'h1e

s integral 32 'h10

myaa aa_string_string 3 -

myaa[bar] string 3 bye

myaa[foo] string 3 boo

myaa[foobar] string 6 boobah

However, the config_db::dump() only shows '?' for values.

=== resource pool ===

debug [/^topenv\..*$/] : ?

-

myaa[bar] [/^.*$/] : ?

-

myaa[foo] [/^topenv\.inst1\.u1$/] : ?

-

myaa[foo] [/^.*$/] : ?

-

since the values in the topology are read from the config_db, it would appear that I'm running into a problem with do_print(), but I do not understand why UVM wouldn't already properly print SV classes like 'int' and 'string'. So I suspect pilot-error, but I haven't been able to spot it.

Link to comment
Share on other sites

hi,

the printout is "as expected". well, uvm currently relies upon the %p format to print the contents which not all simulators support fully. so for ius this means you do get an "?" printed. the the workaround would be to implement print policy classes but this seems quite some effort for what will be later a %p.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...