Jump to content

Null object error from uvm_config.sv


Recommended Posts

Hi,

At the end of my simulation, I get a null object error from uvm_config.sv

Error-[NOA] Null object access

uvm/src/base/uvm_config.sv, 245

The object is being used before it was constructed/allocated.

Please make sure that the object is newed before using it.

#0 in \uvm_object_config_setting::value_string at

uvm/src/base/uvm_config.sv:245

#1 in \uvm_config_setting::convert2string at

uvm/src/base/uvm_config.sv:118

#2 in \uvm_component::check_config_usage at

uvm/src/base/uvm_component.sv:1172

#3 in \uvm_root::run_global_phase at

uvm/src/base/uvm_root.svh:783

#4 in \uvm_root::run_test at

uvm/src/base/uvm_root.svh:460

#5 in run_test at

uvm/src/base/uvm_globals.svh:40

#6 in unnamed$$_9 at

I am using the uvm early adapter 1.0 source code.

Can anybody help me why this happens at the end of the simulation?

Thanks

Suleesh

Edited by suleesh
Link to comment
Share on other sites

Hi,

Most likely m_value is null.

I'd place breakpoints to determine why it was null.

i.e

stop -file /blah/srajendr/UVM_SOURCE/uvm/src/base/uvm_config.sv -line 231

Might be that the object is never new'ed or it is passed a null "value" when it is created, or the assignment is not happening correctly.

More code would be useful to detemine the cause.

-adiel.

Edited by adielkhan
Link to comment
Share on other sites

In UVM1.0EA, the method check_config_usage() is automatically called at the end of the simulation. This prints out any configuration settings which are not matched by pathname or fieldname. It could be that an problem in one of your config settings is missed during simulation (because it is not matched) but causes an error at the end when check_config_usage() is called.

You could get a null pointer warning if you're using objects as configuration containers.

Try commenting out your configs & seeing if that fixes that problem.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...