Jump to content

How to correct the following error reported in QuestaSim?


Recommended Posts

When I simulated the uvm_ref_flow_1.02‘s uart_subsystem by QuestaSim's vsim, it reports error as following:

# ** Error: (vsim-8322) ../../../../../uvm_ref_flow_1.02/soc_verification_lib/interface_uvc_lib/uart/uart_monitor.sv(66): Covergroup instance option name collision. Duplicate name: 'uart_trans_frame_cg'. <Also see ../../../../../uvm_ref_flow_1.02/soc_verification_lib/interface_uvc_lib/uart/uart_monitor.sv(66)>

a bit of source codes is copied here:

66 covergroup uart_trans_frame_cg;

67 NUM_STOP_BITS : coverpoint cfg.nbstop {

68 bins ONE = {0};

69 bins TWO = {1};

70 }

71 DATA_LENGTH : coverpoint cfg.char_length {

72 bins EIGHT = {0,1};

73 bins SEVEN = {2};

74 bins SIX = {3};

75 }

76 PARITY_MODE : coverpoint cfg.parity_mode {

77 bins EVEN = {0};

78 bins ODD = {1};

79 bins SPACE = {2};

80 bins MARK = {3};

81 }

82 PARITY_ERROR: coverpoint cur_frame.error_bits[1]

83 {

84 bins good = { 0 };

85 bins bad = { 1 };

86 }

87

88 DATA_LENGTH_x_PARITY_MODE: cross DATA_LENGTH, PARITY_MODE;

89 PARITY_ERROR_x_PARITY_MODE: cross PARITY_ERROR, PARITY_MODE;

90

91 endgroup

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...