Jump to content

Config checking in UVM1.1


Recommended Posts

Anyone tried the new +UVM_CONFIG_DB_TRACE option in UVM1.1? Is it broken?

I'm using set & get calls on uvm_config_db and adding the +UVM_CONFIG_DB_TRACE option does not generate any new output.

Whereas using the +UVM_RESOURCE_DB_TRACE option reports get calls for every sodding property in my testbench, including default_sequence for every UVC in every run phase; recording_detail for every component; etc etc.

Is this the expected behavior? Are there no filtering options?

I'm resorting to the old check_config_usage() call, the output of which is significantly worse than in UVM1.0EA..

Config debugging seems to be going backwards in UVM1.0/1.1. Given some of my users like the regexp options in config sets, this is getting painful..

Anyone have any good options for config debug in UVM1.0/1 besides the above?

Link to comment
Share on other sites

Hi Uwe

Not sure if that bug description is correct:-

>>>A bug in uvm_config_db.svh causes the UVM_CONFIG_DB_TRACE option to be ignored and use UVM_RESOURCE_DB_TRACE instead.

In my experience the UVM_CONFIG_DB_TRACE option is ignored full stop. Not replaced by the resource trace as implied by the description..

Link to comment
Share on other sites

Hi uwe

Attached is a simple test case with incorrect config database set call on property config_item in the path uvm_test_top.env.

The check_phase method of the test class contains a check_config_usage() call to confirm the incorrect configuration and a call to uvm_config_db_options::is_tracing() to check if the configuration tracing is enabled.

Adding +UVM_CONFIG_DB_TRACE adds no extra output to the log, but is_tracing() returns 1.

With +UVM_RESOURCE_DB_TRACE option, I get additional output like this:-

UVM_INFO ***uvm-1.1/src/base/uvm_resource_db.svh(130) @ 0: reporter [CFGDB/SET] Configuration 'uvm_top.env.config_item' (type unknown) set by  = ?
UVM_INFO ***uvm-1.1/src/base/uvm_resource_db.svh(130) @ 0: reporter [CFGDB/GET] Configuration 'uvm_test_top.recording_detail' (type unknown) read by uvm_test_top = null (failed lookup)
UVM_INFO ***uvm-1.1/src/base/uvm_resource_db.svh(130) @ 0: reporter [CFGDB/GET] Configuration 'uvm_test_top.recording_detail' (type unknown) read by uvm_test_top = null (failed lookup)
UVM_INFO top.sv(39) @ 0: uvm_test_top [test1] Config tracing = 0

i.e. correct ID of the failed configuration of config_item, plus the extra recording_detail overhead, and confirmation that configuration tracing is disabled.

So it looks like +UVM_CONFIG_DB_TRACE is not aliased to resource coverage, but just doesn't work.

Simulation run on IUS9.3s37 with UVM1-1

Link to comment
Share on other sites

  • 3 months later...

hi,

you can access the read-only repository using git.

git checkout git://uvm.git.sourceforge.net/gitroot/uvm/uvm

git checkout –t origin/UVM_1_1_BUGFIX

any mantis_* and Mantis_* branches are fixes of the appropriate mantis issues. UVM_1_1_BUGFIX is the latest code leading to the next uvm version.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...