Jump to content

UVM sequence macros


Recommended Posts

I just tried to switch to UVM 1.1 and I am seeing new errors.

I used to be able to start a sequence within a sequence by using the uvm_do_with macros in the body() method. I now get the following error:

UVM_FATAL @ 0 [sEQNOTITM] attempting to start a sequence using start_item() from sequence

Anyone have an idea of what may be causing this? Was my previous usage of the macros incorrect?

Link to comment
Share on other sites

Hi uwes,

Thanks for the response but I am not calling the start_item() method, at least not directly. I am using the macros.

I also just noticed that on a simple example, everything works fine. The problem only shows up, so far, in "full" test benches. I don't know if it's relevant but in those test benches, the sequences are started as default sequences.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...