Jump to content

about the uvm_sequencer


Recommended Posts

As we know, the 'count' field in sequencer is removed from UVM1.0p1, so my 1st question is: how to stop the sequencer if we don't want it to start?

my 2nd question is: if we never set the 'default_sequence' for one sequencer, then does this sequencer still work as it did in OVM? choose from the uvm_random_sequence or uvm_exhaustive_sequence or others?

my 3rd question is: if we never use `uvm_declare_p_sequencer to declare p_sequencer, can we still call it and use it in our sequence?

Thanks for your helps!

Link to comment
Share on other sites

As we know, the 'count' field in sequencer is removed from UVM1.0p1, so my 1st question is: how to stop the sequencer if we don't want it to start?

my 2nd question is: if we never set the 'default_sequence' for one sequencer, then does this sequencer still work as it did in OVM? choose from the uvm_random_sequence or uvm_exhaustive_sequence or others?

my 3rd question is: if we never use `uvm_declare_p_sequencer to declare p_sequencer, can we still call it and use it in our sequence?

Thanks for your helps!

Question 1 - by default, a sequencer in UVM1.0 will not create any transactions, assuming you're not using the deprecated `uvm_sequencer and `uvm_update_sequence_lib_and_item macros. So effectively, it's count field is set to 0.

Question 2 - No. If you need the old UVM behaviour of random/exhaustive sequences, then you need to use sequence libraries.

Qustion 3 - No - again if you don't use the `uvm_sequencer_utils macro, but switch to the `uvm_component_utils macro instead, then the p_sequencer handle is NOT defined. You explicitly need the `uvm_declare_p_sequencer macro to use the handle.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...