Jump to content

UVM_DEBUG verbosity level


Recommended Posts

Hello,

 

You can use UVM_DEBUG verbosity as any other verbosity level.

For example:

 

task run_phase(uvm_phase phase);
`uvm_info(get_full_name(), "Starting run_phase", UVM_LOW)

...
`uvm_info(get_full_name(), "Checkpoint 1 of run_phase", UVM_DEBUG)
...
`uvm_info(get_full_name(), "Ending run_phase", UVM_MEDIUM)
endtask : run_phase

 

UVM_DEBUG represents the highest level of verbosity, which means that every message with any verbosity level will be displayed.

 

 

Hope that helps,

Andra Socianu

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...